Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC) CROW

7 Slides148.10 KB

Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC) CROW A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability Hasan Hassan Minesh Patel Jeremie S. Kim A. Giray Yaglikci Nika Mansouri Ghiasi Saugata Ghose Nandita Vijaykumar Onur Mutlu

Challenges of DRAM Scaling DRAM 1 access latency 2 refresh overhead 3 exposure to vulnerabilities 2

Conventional DRAM SA SA SA SA SA SA DRAM row decoder DRAM Subarray sense amplifier 3

Copy Row DRAM (CROW) SA SA SA SA SA copy rows SA Row copy Multiple row activation regular rows CROW decoder DRAM regular row decoder DRAM Subarray sense amplifier 4

Use Cases of CROW CROW-cache weak reduces access latency SA SA SA SA SA CROW-ref SA strong reduces DRAM refresh overhead A mechanism for protecting against RowHammer 5

Key Results CROW-cache CROW-ref 20% speedup 22% less DRAM energy Hardware Overhead 0.5% DRAM chip area 1.6% DRAM capacity 11.3 KiB memory controller storage 6

Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC) CROW A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability Hasan Hassan Minesh Patel Jeremie S. Kim A. Giray Yaglikci Nika Mansouri Ghiasi Saugata Ghose Nandita Vijaykumar Onur Mutlu

Back to top button